Limit search to available items
Book Cover
E-book
Author Guo, Xinfei, author

Title Circadian rhythms for future resilient electronic systems : accelerated active self-healing for integrated circuits / Xinfei Guo and Mircea R. Stan
Published Cham, Switzerland : Springer, [2020]

Copies

Description 1 online resource : illustrations
Contents Intro; Preface; Acknowledgments; Contents; Acronyms; Part I Overview; 1 Introduction to Wearout; 1.1 Wearout in CMOS Circuits; 1.2 Existing Wearout Mitigation Techniques; 1.3 Overview of This Book; References; Part II Experimental Validations; 2 Accelerated and Active Self-healing Techniques for BTI Wearout; 2.1 Overview; 2.2 BTI Wearout and Recovery Basics; 2.3 Prior Work on BTI Recovery; 2.4 BTI Self-healing; 2.4.1 Accelerate and Activate BTI Recovery; 2.4.2 Gate-Level Analytical Model for Accelerated Active Self-healing; 2.5 Experimental Setup; 2.5.1 Test Platform; 2.5.2 Test Configuration
2.5.3 Test Conditions2.5.3.1 Stress and Recovery ̀̀Knobs''; 2.5.3.2 Accelerated Test Methodology; 2.5.3.3 Test Cases; 2.5.4 Modeling BTI Stress and Recovery for FPGA Test Structures; 2.6 Test Results for Accelerated BTI Wearout; 2.6.1 AC Stress vs. DC Stress; 2.6.2 Effect of Temperature on BTI Wearout; 2.7 Test Results for Accelerated Active Self-healing Techniques; 2.7.1 Active Recovery with Negative Voltage; 2.7.2 Accelerated Recovery with High Temperature; 2.7.3 Model Validation; 2.8 Reversible vs. Irreversible BTI Wearout; 2.8.1 Fast Traps vs. Slow Traps: A Physics Perspective
2.8.2 Irreversible Wearout During Accelerated Self-healing2.8.3 Sequentiality of Reversible and Irreversible Wearout; 2.9 Frequency Dependency of BTI Wearout and Recovery; 2.9.1 Sleep with Accelerated Rejuvenation WhenGetting Tired; 2.9.2 Measurement Results; 2.9.3 Reduction of Necessary Design Margin; 2.9.4 Reduction of Tracking Power; 2.9.5 Average Performance Improvement; 2.9.6 Frequency Dependency Behavior of BTI Wearout; 2.10 Conclusions; References; 3 Accelerating and Activating Recovery Against EM Wearout; 3.1 Overview; 3.2 EM Wearout and Recovery Mechanisms
3.3 Prior Work on EM Recovery3.4 ̀̀Reversing'' the Direction of EM Wearout; 3.5 Test Setup; 3.5.1 Test Structure; 3.5.2 Measurement Setup; 3.5.3 Test Cases; 3.6 Experimental Results for EM Active and Accelerated Recovery; 3.7 EM Signoff Considering Accelerated and Active Recovery; 3.7.1 Relaxing the EM Design Rules; 3.7.2 Performance Improvement; 3.7.3 Extending the Wire Lifetime; 3.8 Summary: EM vs. BTI; 3.9 Conclusions; References; Part III Implementing Self-healing on Chip; 4 Circuit Techniques for BTI and EM Acceleratedand Active Recovery; 4.1 Overview
4.2 Circuit Solutions for Activating and Accelerating BTI Recovery4.2.1 On-Chip Negative Voltage Generation; 4.2.2 Negative Bias Voltage in a Logic Path; 4.2.3 Wearout-Aware Power Gating; 4.2.4 On-Chip Heat Generation; 4.3 Circuit Solutions for Activating and Accelerating EM Recovery; 4.4 BTI Sensing; 4.4.1 Previous BTI Sensing Techniques; 4.4.2 Ring Oscillator-Based Test Structures for Separating NBTI and PBTI; 4.4.3 Metastable-Element-Based Embeddable BTI Sensors; 4.4.3.1 Sensor Scheme; 4.4.3.2 Sizing; 4.4.3.3 Proactive Recovery Case Simulation Results
Summary This book describes methods to address wearout/aging degradations in electronic chips and systems, caused by several physical mechanisms at the device level. The authors introduce a novel technique called accelerated active self-healing, which fixes wearout issues by enabling accelerated recovery. Coverage includes recovery theory, experimental results, implementations and applications, across multiple nodes ranging from planar, FD-SOI to FinFET, based on both foundry provided models and predictive models. Presents novel techniques, tested with experiments on real hardware; Discusses circuit and system level wearout recovery implementations, many of these designs are portable and friendly to the standard design flow; Provides circuit-architecture-system infrastructures that enable the accelerated self-healing for future resilient systems; Discusses wearout issues at both transistor and interconnect level, providing solutions that apply to both; Includes coverage of resilient aspects of emerging applications such as IoT
Bibliography Includes bibliographical references and index
Notes Online resource; title from PDF title page (EBSCO, viewed June 17, 2019)
Subject Integrated circuits.
Integrated circuits -- Design and construction.
Metal oxide semiconductor field-effect transistors.
Circadian rhythms.
Circadian Rhythm
TECHNOLOGY & ENGINEERING -- Mechanical.
Circadian rhythms
Integrated circuits
Integrated circuits -- Design and construction
Metal oxide semiconductor field-effect transistors
Form Electronic book
Author Stan, Mircea R., author
ISBN 9783030200510
3030200515