Limit search to available items
Book Cover
E-book
Author Jha, Niraj K

Title Testing of digital systems / N.K. Jha and S. Gupta
Published Cambridge : Cambridge University Press, 2003

Copies

Description 1 online resource (xvi, 1000 pages) : illustrations
Contents 1. Introduction / Ad van de Goor -- 2. Fault models -- 3. Combinational logic and fault simulation -- 4. Test generation for combinational circuits -- 5. Sequential ATPG -- 6. I[subscript DDQ] testing -- 7. Functional testing -- 8. Delay fault testing -- 9. CMOS testing -- 10. Fault diagnosis -- 11. Design for testability -- 12. Built-in-self-test -- 13. Synthesis for testability -- 14. Memory testing / Ad van de Goor -- 15. High-level test synthesis -- 16. System-on-a-chip test synthesis
Summary The most comprehensive and wide ranging book of its kind, Testing of Digital Systems covers everything you need to know about how to test semiconductor devices and systems. Written for students and engineers, it is both an excellent senior/graduate level textbook and a valuable reference
Bibliography Includes bibliographical references and index
Notes English
Print version record
Subject Digital integrated circuits -- Testing
TECHNOLOGY & ENGINEERING -- Electronics -- Circuits -- Integrated.
TECHNOLOGY & ENGINEERING -- Electronics -- Circuits -- General.
Digital integrated circuits -- Testing
Test
Digitale integrierte Schaltung
Form Electronic book
Author Gupta, S. (Sandeep), 1962-
ISBN 0511077734
9780511077739
0511076169
9780511076169
9780511816321
0511816324
1282387138
9781282387133
1107128935
9781107128934
0511643314
9780511643316
9786612387135
6612387130
0511203810
9780511203817
0511556985
9780511556982