Limit search to available items
Book Cover
E-book
Author González Ruiz, Pilar.

Title Poly-SiGe for MEMS-above-CMOS sensors / Pilar Gonzalez Ruiz, Kristin De Meyer, Ann Witvrouw
Published Dordrecht ; New York : Springer, ©2014

Copies

Description 1 online resource
Series Springer series in advanced microelectronics, 1437-0387 ; v. 44
Springer series in advanced microelectronics ; v. 44.
Contents Symbols and Abbreviations -- Introduction -- Poly-SiGe As Piezoresistive Material -- Design of a Poly-SiGe Piezoresistive Pressure Sensor -- The Pressure Sensor Fabrication Process -- Sealing of Surface Micromachined Poly-SiGe Cavities -- Characterization of Poly-SiGe pressure sensors -- CMOS Integrated Poly-SiGe Piezoresistive Pressure Sensor -- Conclusions And Future Work
Summary Polycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability. Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 mm Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence of deposition conditions, germanium content and doping concentration on the electrical and piezoresistive properties of boron-doped poly-SiGe. The development of a CMOS-compatible process flow, with special attention to the sealing method, is also described. Piezoresistive pressure sensors with different areas and piezoresistor designs were fabricated and tested. Together with the piezoresistive pressure sensors, also functional capacitive pressure sensors were successfully fabricated on the same wafer, proving the versatility of poly-SiGe for MEMS sensor applications. Finally, a detailed analysis of the MEMS processing impact on the underlying CMOS circuit is also presented
Analysis Physics
Engineering
Systems engineering
Optical materials
Surfaces (Physics)
Electronic Circuits and Devices
Circuits and Systems
Optical and Electronic Materials
Nanotechnology and Microengineering
Characterization and Evaluation of Materials
nanotechnologie
nanotechnology
circuits
stroomketens
electric circuits
fysica
materialen
materials
materiaalkunde
materials science
optische instrumenten
optical instruments
optica
optics
Physics (General)
Fysica (algemeen)
Bibliography Includes bibliographical references
Notes English
Online resource; title from PDF title page (SpringerLink, viewed July 29, 2013)
Subject Microelectromechanical systems.
Metal oxide semiconductors, Complementary.
Polycrystals.
TECHNOLOGY & ENGINEERING -- Electronics -- Digital.
TECHNOLOGY & ENGINEERING -- Electronics -- Microelectronics.
Ingénierie.
Metal oxide semiconductors, Complementary
Microelectromechanical systems
Polycrystals
Form Electronic book
Author Meyer, Kristin De.
Witvrouw, Ann
ISBN 9789400767997
9400767994
9400767986
9789400767980